当前位置:文档之家› 数字电路的基础知识

数字电路的基础知识

数字电路的基础知识

数字电路的基础知识

模拟数字电路基础知识

第九章 数字电路基础知识 一、 填空题 1、 模拟信号是在时间上和数值上都是 变化 的信号。 2、 脉冲信号则是指极短时间内的 电信号。 3、 广义地凡是 规律变化的,带有突变特点的电信号均称脉冲。 4、 数字信号是指在时间和数值上都是 的信号,是脉冲信号的一种。 5、 常见的脉冲波形有,矩形波、 、三角波、 、阶梯波。 6、 一个脉冲的参数主要有 Vm 、tr 、 Tf 、T P 、T 等。 7、 数字电路研究的对象是电路的输出与输入之间的逻辑关系。 8、 电容器两端的电压不能突变,即外加电压突变瞬间,电容器相当于 。 9、 电容充放电结束时,流过电容的电流为0,电容相当于 。 10、 通常规定,RC 充放电,当t = 时,即认为充放电过程结束。 11、 RC 充放电过程的快慢取决于电路本身的 ,与其它因素无关。 12、 RC 充放电过程中,电压,电流均按 规律变化。 13、 理想二极管正向导通时,其端电压为0,相当于开关的 。 14、 在脉冲与数字电路中,三极管主要工作在 和 。 15、 三极管输出响应输入的变化需要一定的时间,时间越短,开关特性 。 16、 选择题 2 若一个逻辑函数由三个变量组成,则最小项共有( )个。 A 、3 B 、4 C 、8 4 下列各式中哪个是三变量A 、B 、C 的最小项( ) A 、A B C ++ B 、A BC + C 、ABC 5、模拟电路与脉冲电路的不同在于( )。 A 、模拟电路的晶体管多工作在开关状态,脉冲电路的晶体管多工作在放大状态。 B 、模拟电路的晶体管多工作在放大状态,脉冲电路的晶体管多工作在开关状态。 C 、模拟电路的晶体管多工作在截止状态,脉冲电路的晶体管多工作在饱和状态。 D 、模拟电路的晶体管多工作在饱和状态,脉冲电路的晶体管多工作在截止状态。 6、己知一实际矩形脉冲,则其脉冲上升时间( )。 A 、.从0到Vm 所需时间 B 、从0到2 2Vm 所需时间 C 、从0.1Vm 到0.9Vm 所需时间 D 、从0.1Vm 到 22Vm 所需时间 7、硅二极管钳位电压为( ) A 、0.5V B 、0.2V C 、0.7V D 、0.3V 8、二极管限幅电路的限幅电压取决于( )。 A 、二极管的接法 B 、输入的直流电源的电压 C 、负载电阻的大小 D 、上述三项 9、在二极管限幅电路中,决定是上限幅还是下限幅的是( ) A 、二极管的正、反接法 B 、输入的直流电源极性 C 、负载电阻的大小 D 、上述三项 10、下列逻辑代数定律中,和普通代数相似是( ) A 、否定律 B 、反定律 C 、重迭律 D 、分配律

数字电路实验

实验2 组合逻辑电路(半加器全加器及逻辑运算) 一、实验目的 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及材料 1.Dais或XK实验仪一台 2.万用表一台 3.器件:74LS00 三输入端四与非门3片 74LS86 三输入端四与或门1片 74LS55 四输入端双与或门1片 三、预习要求 1.预习组合逻辑电路的分析方法。 2.预习用与非门和异或门构成的半加器、全加器的工作原理。 3.学习二进制数的运算。 四、实验内容 1.组合逻辑电路功能测试。 图2-1 ⑴用2片74LS00组成图2-1所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 ⑵图中A、B、C接电平开关,Y1、Y2接发光管显示。 ⑶按表2-1要求,改变A、B、C的状态填表并写出Y1、Y2逻辑表达式。 ⑷将运算结果与实验比较。

2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图2-2。 图2-2 ⑴在实验仪上用异或门和与门接成以上电路。A、B接电平开关S,Y、Z接电平显示。 ⑵按表2-2要求改变A、B状态,填表。 3.测试全加器的逻辑功能。 ⑴写出图2-3电路的逻辑表达式。 ⑵根据逻辑表达式列真值表。 ⑶根据真值表画逻辑函数SiCi的卡诺图。 图2-3 ⑷填写表2-3各点状态。

⑸按原理图选择与非门并接线进行测试,将测试结果记入表2-4,并与上表进行比较看逻辑功能是否一致。 4.测试用异或、与或和非门组成的全加器的逻辑功能。 全加器可以用两个半加器和两个与门一个或门组成,在实验中,常用一块双异或门、一个与或门和一个非门实现。 ⑴画出用异或门、与或非门和与门实现全加器的逻辑电路图,写出逻辑表达式。 ⑵找出异或门、与或非门和与门器件,按自己画出的图接线。接线时注意与或非门中不用的与门输入端接地。 ⑶当输入端Ai、Bi、Ci-1为下列情况时,用万用表测量Si和Ci的电位并将其转为逻辑状态填入表2-5。 五、实验报告 1.整理实验数据、图表并对实验结果进行分析讨论。 2.总结组合逻辑电路的分析方法。 实验3 触发器 一、实验目的 1.熟悉并掌握R-S、D、J-K触发器的构成,工作原理和功能测试方法。 2.学会正确使用触发器集成芯片。 3.了解不同逻辑功能FF相互转换的方法。 二、实验仪器及材料 1.双踪示波器一台 2.Dais或XK实验仪一台 3.器件74LS00 二输入端四与非门1片 74LS74 双D触发器1片 74LS112 双J-K触发器1片 二、实验内容

数字电路实验指导书

数字电路实验指导书 上海大学精密机械工程系2010年10月

目录 一、概述 二、实验一基本电路逻辑功能实验 三、实验二编码器实验 四、实验三寄存器实验 五、实验四译码器实验 六、实验五比较器实验 七、实验六加法器实验 八、实验七计数器实验 九、附录一数字电路实验基本知识 十、附录二常用实验器件引脚图 十一、附录三实验参考电路 十二、附录四信号定义方法与规则十三、附录五 DS2018实验平台介绍

前言 《数字电路A》课程是机电工程及自动化学院机械工程自动化专业和测控技术与仪器专业的学科基础必修课。课程介绍数字电路及控制系统的基本概念、基本原理和应用技术,使学生在数字电路方面具有一定的理论知识和实践应用能力。该课程是上海大学和上海市教委的重点课程建设项目和上海大学精品课程,课程教学内容和方式主要考虑了机械类专业对电类知识的需求特点,改变了电子专业类(如信息通信、电气自动化专业)这门课比较注重教授理论性和内部电路构成知识的方式,加强应用设计性实验,主要目的是让学生能在理论教学和实验中学会解决简单工程控制问题的基本方法和技巧,能够设计基本的实用逻辑电路。 本书是《数字电路A》的配套实验指导书,使用自行开发的控制系统设计实验箱,所有实验与课堂理论教学相结合,各实验之间相互关联,通过在实验箱上设计构建不同的数字电路功能模块,以验证理论教学中学到的各模块作用以及模块的实际设计方法。在所有功能模块设计结束后,可以将各模块连接在一起,配上输入输出装置,构成一个完整的工程控制系统。 为本课程配套的输入输出装置是颗粒糖果自动灌装控制和一维直线运动控制,颗粒糖果自动灌装系统的框图如下图所示: 颗粒糖果灌装系统框图 本套实验需要设计的功能模块包括:编码器、寄存器、译码器、比较器、加法器、计数器、光电编码器辩向处理电路、步进电机旋转控制环形分配电路等。

数字逻辑实验、知识点总结(精编文档).doc

【最新整理,下载后即可编辑】 数字逻辑实验报告、总结 专业班级:计算机科学与技术3班 学号:41112115 姓名:华葱 一、 实验目的 1. 熟悉电子集成实验箱的基本结构和基本操作 2. 通过实验进一步熟悉各种常用SSI 块和MSI 块的结构、 各管脚功能、工作原理连接方法 3. 通过实验进一步理解MSI 块的各输入使能、输出使能的 作用(存在的必要性) 4. 通过实验明确数字逻辑这门课程在计算机专业众多课 程中所处的位置,进一步明确学习计算机软硬件学习的 主线思路以及它们之间的关系学会正确学习硬件知识 的方法。 二、 实验器材 1. 集成电路实验箱 2. 导线若干 3. 14插脚、16插脚拓展板 4. 各种必要的SSI 块和MSI 块 三、 各次实验过程、内容简述 (一) 第一次实验:利用SSI 块中的门电路设计一个二进制一 位半加器 1. 实验原理:根据两个一位二进制数x 、y 相加的和与 进位的真值表,可得:和sum=x 异或y ,进位C out =x ×y 。相应电路: 2. 实验内容: a) 按电路图连接事物,检查连接无误后开启电源 b) 进行测试,令

y>={<0,0>,<0,1>,<1,0>,<1,1>},看输出位sum 和C out 的变化情况。 c) 如果输出位的变化情况与真值表所述的真值相 应,则达到实验目的。 (二) 第二次实验:全加器、74LS138译码器、74LS148编码器、 74LS85比较器的测试、使用,思考各个输入、输出使能 端的作用 1. 实验原理: a) 全加器 i. 实验原理: 在半加器的基础上除了要考虑当前两个二进制为相 加结果,还要考虑低位(前一位)对这一位的进位 问题。由于进位与当前位的运算关系仍然是和的关 系,所以新引入的低位进位端C in 应当与当前和sum 再取异或,而得到真正的和Sum ;而进位位C out 的 产生有三种情况:={<1,1,0>,<1,0,1>,<0,1,1>},也就是说当x 、y 、 C in 中当且仅当其中的两个数为1,另一个数为0的 时候C out =1,因此:C out =xy+xC in +yC in 得电路图(也 可以列出关于C in 的真值表,利用卡诺图求解C in 的 函数表达式): ii. >的8中 指,y ,C in x y C in Sum C out

数字电路实验大纲

数字电路实验课程教学大纲 一、课程的基本信息 适应对象:电子科学与技术电子信息工程通信工程 课程代码:AAD00813 学时分配:16 赋予学分:1 先修课程:电路分析低频电子线路 后续课程:信号系统单片机原理与接口技术 二、课程性质与任务 数字电路实验为专业基础实验,面向电子信息工程、电子科学与技术、通信工程专业开设的独立设置的实验课程及课内实验。通过本课程的学习使学生进一步掌握常用仪器的使用,并掌握数字电路基本知识、常用芯片的功能及参数以及中、大规模器件的应用,掌握组合逻辑电路和时序逻辑电路的设计方法。同时通过学习,可以培养学生独立思考、独立解决问题的能力,加强动手能力的培养,使学生掌握数字电路的设计方法。 三、教学目的与要求 本课程是一门集理论与实践与一体的课程。学生通过本课程的学习,能够掌握各种基本逻辑门电路的结构和功能;掌握各种组合逻辑电路的分析和设计方法;熟悉常用的触发器,并会对常用的时序电路进行分析;对较复杂的数字系统的分析方法能有所了解;掌握各种电子电路和系统的测试方法和技能。 四、教学内容与安排 实验项目设置与内容提要

虚拟实验项目设置与内容提要 五、教学设备和设施 DZX-1 电子学综合实验装置示波器数字电路虚拟实验系统 六、课程考核与评估 实验成绩由虚拟实验成绩、平时实验成绩和考核成绩组成,虚拟实验成绩占20%,平时实验成绩占50%,考核成绩占30%。平时实验成绩由实验操作成绩和实验报告成绩组成,实验操作成绩占平时实验成绩的70%;实验报告成绩占平时实验成绩的30%。实验操作主要考察学生对实验电路的设计难易程度、电路连接调试、问题解决的能力,是否能够达到设计要求;实验报告主要考察学生对实验涉及的理论知识的掌握,对实验得到的结论和现象是否能够正确理解和分析,并能够合理的解释实验中出现的问题,正确判断实验的成功、失败。

数字电路基础教案

第7章数字电路基础 【课题】 7.1 概述 【教学目的】 1.让学生了解数字电子技术对于认知数码世界的重要现实意义,培养学生学习该科目的浓厚兴趣。 2.明确该科目的学习重点和学习方法。 【教学重点】 1.电信号的种类和各自的特点。 2.数字信号的表示方法。 3.脉冲波形主要参数的含义及常见脉冲波形。 4.数字电路的特点和优越性。 【教学难点】 数字信号在日常生活中的应用。 【教学方法】 讲授法,讨论法 【参考教学课时】 1课时 【教学过程】 一、新授内容 7.1.1 数字信号与模拟信号 1. 模拟信号:在时间和数值上是连续变化的信号称为模拟信号。 2. 数字信号:在时间和数值上是离散的信号称为数字信号。 讨论:请同学们列举几种常见的数字信号和模拟信号。 7.1.2 脉冲信号及其参数 1. 脉冲信号的定义:在瞬间突然变化、作用时间极短的电压或电流信号。 2.脉冲的主要参数:脉冲幅值V m 、脉冲上升时间t r 、脉冲下降时间t f 、脉冲宽度t W 、脉冲周期T及占空比D。 7.1.3 数字电路的特点及应用 特点:1.电路结构简单,便于实现数字电路集成化。

2.抗干扰能力强,可靠性高。(例如手机) 3.数字电路实际上是一种逻辑运算电路,电路分析与设计方法简单、方便。 4.数字电路可以方便地保存、传输、处理数字信号。(例如计算机) 5.精度高、功能完备、智能化。(例如数字电视和数码照相机) 应用:数字电路在家电产品、测量仪器、通信设备、控制装置等领域得到广泛的应用,数字化的发展前景非常宽阔。 讨论:1.你用过哪些数字电路产品,请列出1~2个较为典型的例子,并就其中一个产品说明它的功能及优点和缺点。 二、课堂小结 1. 数字信号与模拟信号的概念 2. 脉冲信号及其参数 3. 数字电路的特点及应用 三、课堂思考 讨论:谈谈如何才能学好数字电路课程? 四、课后练习 P143思考与练习题:1、 2、3。 【课题】 7.2 常用数制与编码 【教学目的】 1.掌握二进制、十进制、十六进制数的表示方法及数制间的相互转换。 2.了解8421BCD码的表示形式。 【教学重点】 1.二进制、十六进制数的表示方法。 2.数字电路中为什么广泛采用二、十六进制数。 3.为什么要进行不同数制之间的转换。 4.进行二进制、十进制数、十六进制之间的相互转换。 5. 8421BCD码。 【教学难点】

数字电子技术基础课程教学大纲简介

数字电子技术基础课程教学大纲 英文名称:Digital Electronic Technology Fundamentals 课程编码:04119630 学时:64/12学分:4 课程性质:专业基础课课程类别:理论课 先修课程:高等数学、普通物理、电路理论、模拟电子技术基础 开课学期:第4学期 适用专业:自动化、电气工程及其自动化、工业自动化仪表 一、课程教学目标 通过本课程的理论教学和实验训练,能够运用数字电子技术的基本概念、基本理论与分析方法和设计方法,解决较复杂的数字电路系统相关的工程问题,使学生具备下列能力: 1、使用逻辑代数解决逻辑问题; 1、正确使用数字集成电路; 1、分析和设计数字逻辑电路; 2、正确使用数字逻辑电路系统的辅助电路。 三、课程的基本内容 3.1 理论教学 1、数字逻辑基础(支撑教学目标1) 教学目标:使学生掌握逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式。了解二进制的算术运算与逻辑运算的不同之处。掌握逻辑函数的四种表示方法(真值表法、逻辑式法、卡诺图法及逻辑图法)及其相互之间的转换。理解最小项的概念及其在逻辑函数表示中的应用。掌握逻辑函数的公式化简法和图形化简法。掌握约束项的概念及其在逻辑函数化简中的应用。

本章主要内容: (1)数字信号与数字电路 (2)逻辑代数 (3)逻辑函数及其表示方法 (4)逻辑函数的化简 2、逻辑门电路(支撑教学目标2) 教学目标:使学生了解门电路的定义及分类方法。二极管、三极管的开关特性,及分立元件组成的与、或、非门的工作原理。理解TTL 反相器的工作原理,掌握其静态特性,了解动态特性。了解其它类型TTL门的工作原理及TTL集成门的系列分类。 本章主要内容: (1)半导体二极管门电路 (2)半导体三极管门电路 (3)TTL集成门电路 3、组合逻辑电路(支撑教学目标3) 教学目标:使学生掌握组合逻辑电路的设计与分析方法。理解常用组合逻辑电路,即编码器、译码器和数据选择器的基本概念、工作原理及应用。掌握译码器和数据选择器在组合电路设计中的应用。 本章主要内容: (1)概述 (2)组合逻辑电路的分析与设计 (3)常用组合逻辑电路 (4)用中规模集成电路设计组合逻辑电路 4、触发器(支撑教学目标3) 教学目标:使学生理解触发器的定义。掌握基本SR触发器、同步触发器、主从触发器、边沿 触发的触发器的动作特点。掌握触发器的各种逻辑功能(DFF,JKFF,SRFF,TFF,T’FF)。掌握触发器 逻辑功能与触发方式的区别。掌握画触发器工作波形的方法。 本章主要内容: (1)概述 (2)基本SR触发器(SR锁存器)和同步触发器(电平触发) (3)主从触发器(脉冲触发)和边沿触发器(边沿触发) (4)触发器的逻辑功能及描述方法 5、时序逻辑电路(支撑教学目标3) 教学目标:使学生掌握时序逻辑电路的定义及同步时序电路的分析与设计方法。了解异步时序电路的概念。理解时序电路各方程组(输出方程组、驱动方程组、状态方程组),状态转换表、状态转换图及时序图在分析和设计时序电路中的重要作用。了解常用时序电路(计数器、移位寄存器)的组成及工作原理及其应用。 本章主要内容: (1)时序电路的基本概念

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

数字电路实验(九个)

脉冲与数字电路实验

目录 实验一TTL数字集成电路使用、与非门参数测试实验二门电路 实验三组合逻辑电路 实验四译码器与编码器 实验五触发器 实验六计数器一 实验七计数器二 实验八多谐振荡电路 实验九综合实验 ·二十四进制计数电路 ·数字定时器 ·图形发生器 专题实习通用计时器安装于调试 附录1 常用数字集成电路外引线图 附录2 TTL集成电路分类、推荐工作条件

实验一TTL数字集成电路使用、与非门参数测试 一、实验目的 学习TTL数字集成电路使用方法,学会查阅引脚图。掌握参数测试方法 二、实验设备及器件 1.逻辑实验箱1台 2.万用表1只 3.四2输入与非门74LS00 1只 三、实验重点 54/74LS系列数字集成电路的认识及使用方法 四、数字集成电路概述 以晶体管的“导通”与“截止”表达的两种状态及高电平(H)低电平(L)并以“1” 或“0”表示二进制数。能对二进制数进行逻辑运算、转换、传输、存储的集成电路称为数字集成电路。按分类有TTL型、CMOS型。按功能分有逻辑门电路、组合集成电路、集成触发器、集成时序逻辑电路。 五、实验内容及步骤 1.74LS系列数字集成电路外引线图及使用方法(引线图以14脚集成电路为例) 1)外引线排列 双列直插式封装引脚识别。引脚对称排 列,正面朝上半圆凹槽向左,左下为第1脚, 按逆时针方向引脚序号依次递增。 2)电源供电 芯片以5V供电,电源正极连接标有Vcc 字符的引脚,负极连接标有GND字符的 引脚。电源额定值应准确。为了达到良好的 使用效果,电源范围应满足4.5V≤Vcc≤5.5V。TTL数字集成电路引脚识别 电源极性连接应正确。 3)重要使用规则 a.输出端不能直接连接电源正极或负极。 b.小规模(SSI)和中规模(MSI)芯片,在使用中发热严重应检查外围连线连接是否正确。 1A1B1Y2A2B2Y GND 4A 4B4Y 3A 3B3Y 1A 1B1Y 2A 2B2Y & A B Y & A B Y

数字电子技术基础简明教程(第三版)作业第三章作业

第三章作业 【题3.5】分别用与非门设计能够实现下列功能的组合电路。 (1)四变量表决电路——输出与多数变量的状态一致。 解:输入信号用A、B、C、D表示,输出信号用Y表示,并且用卡诺图表示有关逻辑关系。 图1 =ABC+ABD+ACD+BCD= (2)四变量不一致电路--------四个变量状态不相同时输出为1,相同时输出为0。 图2 C

实现(1)(2)的电路图如下图所示 【题3.8】设计一个组合电路,其输入是四位二进制数D=,要求能判断下列三种情况: (1)D中没有1. (2)D中有两个1. (3)D中有奇数个1. 解:表达式 (1)==+++ (2)如下图a所示。 (3)如下图b所示。

= =(+)(+)+(+)(+) =+++ 逻辑图如下图所示 【题3.10】用与非门分别设计能实现下列代码转换的组合电路: (1)将8421 BCD码转换为余3码。 (2)将8421 BCD码转换为2421码。

(3)将8421 BCD码转换为余3循环码。 (4)将余3码转换成为余3循环码。 解:=8421 BCD码=余3BCD码 =2421 BCD码 =余3循环码(1)卡诺图如下图所示 =++= =++= =+= = (2)卡诺图如下图所示 = =+=

= + = = (3) 卡诺图如下图所示 = + + + = = + + = = (4) 卡诺图如下图所示 = = + = = + = = + = 上述的逻辑电路图分别如下图1、2所示: = + + =

图1 图2 【题3.12】用集成二进制译码器74LS138和与非门构成全加器和全减器。解:(1

数字电路实验

数字电路实验 实验要求: 1.遵守实验室规则,注意人身和仪器设备的安全。 2.预习并按规范写好预习报告,否则不能参加实验。 3.进入实验室后保持安静,对号入座, 4.将预习报告置于实验桌右上角,待指导教师检查。 5.完成实验任务后,保持实验现场,报请老师验收。验收时需清楚简练地 向老师介绍实验情况、证明自己已完成了实验任务。 6.实验成绩由预习报告、实验效果与实验纪律、独立动手能力、实验报告 等综合决定。 实验报告内容要求 1.实验名称、实验者姓名、实验时间地点和指导教师等。 2.实验目的与要求。 3.实验用仪器仪表的名称和型号。 4.实验电路和测试电路。包括实验所用的器件品种、数目和参数。 5.实验内容、步骤,在这部分内容中,应用简明的语言或提纲给出实验的具体内容,步骤、记录实验中的原始数据,绘制出根据观察到的波形整理出的图表、曲线,反映在实验中遇到的问题及处理的经过。如对原实验方案进行了调整,则应写出调整方案的理由和调整情况。 6.实验结果及分析。实验结果是对实验所得的原始数据进行分析计算后得 出的结论。可以用数值或曲线表达,实验结果应满足实验任务的要求。 7.实验小结。总结实验完成的情况,对实验方案和实验结果进行讨论,对 实验中遇到的问题进行分析,简单叙述实验的收获、体会等。 8.参考资料。记录实验进行前、后阅读的有关资料,为今后查阅提供方便。

实验一TTL 与非门参数测试及使用 一、实验目的 1、学习 TTL 和 CMOS 门电路的逻辑功能测试方法,加深认识TTL与CMOS门电路的电平 差异。 2、通过测试TTL 与非门的电压传输特性,进一步理解门电路的重要参数及其意义(包 括 U OL、 U OH、 U ON、 U OFF、 U TH、 U NL、 U NH)。 3、了解一般的集成门电路器件的常用封装形式和引脚排列规律,掌握使用方法。 4、熟悉数字实验箱的结构和使用方法。 二、预习要求 1、 TTL 与 CMOS 门电路的逻辑功能及闲置输入端的处置方法。 2、电压传输特性曲线及其所表征的主要参数的意义。 3、设计实验数据纪录表格 三、实验内容 1、测试 TTL 与非门 74LS00 和 CM0S 或非门 CC4001 逻辑功能。 (1)识别 72LS00 和 CC4001 的封装及引脚排列。 (2)正确连接测试电路,特别注意直流工作电压的大小和极性。 ( 3)测试它们的真值表,要求纪录输入高低电平(U IL、 U IH)和输出高低电平(U OL、 U OH ) 。 ( 4)实验TTL和CMOS门电路的输入端悬空对门电路输出的影响。 2、测试 TTL 与非门电压传输特性。 (1)正确连接测试电路,特别注意实心电位器的连接,连接错误易损坏电位器。 (2)注意在特性曲线的转折处应适当增加测量点。 (3)正确读取数据并纪录。 四、实验报告 1、书写格式要规范,书写认真、字迹清晰。 2、实验报告内容要齐全 3、测试的原始数据要真实,不能随意修改原始数据。 4、绘制 TTL 门的传输特性曲线,并根据曲线标出U ON、U OFF、 U TH及 U NL、 U NH。 5、实验结果分析与小结 实验二组合逻辑电路设计 一、实验目的 1、学习用小规模集成电路设计组合逻辑电路的方法,进一步掌握组合逻辑电路的 分析和设计方法。 2、学习用中规模集成电路实现组合逻辑函数的方法 3、学习数字电路实验中查找电路故障的一般方法。 二、预习要求 1、组合逻辑电路分析、设计的一般方法。 2、用译码器和数据选择器实现组合逻辑函数的方法。 3、画出用译码器74LS138 实现半加器的电路图。 三、实验内容 1、用与非门实现半加器。

数字电路7大基础实验

数字电路7大基础实验-CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN

实验一门电路逻辑功能及测试 一、实验目的 1.了解实验箱各部分的功能,并熟悉其使用方法。 2.熟悉门电路的外形和引脚以及逻辑功能。 3.学习集成电路的测试方法及示波器使用方法。 二、实验仪器及材料 1.双踪示波器 2.器件 74LS00 二输入端四与非门 2片 74LS20 四输人端双与非门 1片 74LS86 二输入端四异或门 1片 74LS04 六反相器 1片 三、预习要求 1.复习门电路工作原理及相应逻辑表达式. 2.熟悉所用集成电路的引线位置及各引线用途. 3.了解双踪示波器使用方法. 四、实验箱介绍 实验箱由电源、电平显示、信号源、芯片插座、逻辑开关等部分组成。

1、电源部分 输出DC、+5V、+~+15V直流稳压电源各一路。两路均设有短路报警功能,电源在短路时自动将电源与已经短路的电路断开,当短路故障排除后,按下报警复位开关即可恢复供电。 2、显示部分 电平指示由10组发光二极管组成,用+5V接电平输入时灯亮为正常。用GND(地)接电平无输出显示为正常。数字显示由2位7段LED数码管及二-十进制译码器驱动器组成。分译码输入端和段位显示输入端(高电平有效)。 3、信号源部分

分单脉冲和连续脉冲2部分,单脉冲开关为消抖动脉冲;连续脉冲分为2组,一组为4路固定频率脉冲,分别为200kHZ、100kHZ、50kHZ、25kHZ;另一组为:1Hz~5kHz 连续可调方波。 4、逻辑电平开关 由10组逻辑电平开关组成(S0-S9),逻辑开关用于输出逻辑电平“1”和“0”。接电平指示,并左右拨动开关(H为高电平+5V,L为低电平0V),则红绿灯相应亮灯。用一组(4位)逻辑开关分别接数码显示的译码输入ABCD(8421BCD),拨动开关组合,输入0000~1001,则数码显示为0~9。 5、集成块插座 插座为双列直插或多列直插,集成块引脚数和引脚号须与插座相符,上左下右对角一般为正、负电源(特殊除外),电源负端接GND即可(10个14脚、3个16脚、1个20脚)。

数字电路基础知识

第11章数字电路基础知识 教学重点: 1.掌握与门、或门、非门的逻辑功能及逻辑符号。 2.了解与或非门、同或门、异或门、OC门与三态门等复合门的逻辑功能和逻辑符号。3.掌握基本逻辑运算、逻辑函数的表示方法。 4.掌握逻辑代数的基本公式;熟练应用公式化简逻辑函数。 教学难点: 1.各种逻辑关系的含义。 2.用公式化简逻辑函数。 3.根据函数表达式画出逻辑图。 学时分配: 11.1数字电路概述 11.1.1 数字电路及其特点 电子线路中的电信号有两大类:模拟信号和数字信号。 1.概念 模拟信号:在数值上和时间上都是连续变化的信号。 数字信号:在数值上和时间上不连续变化的信号。 模拟电路:处理模拟信号的电路。 数字电路:处理数字信号的电路。 2.数字电路特点

(1) 电路中工作的半导体管多数工作在开关状态。 (2) 研究对象是电路的输入与输出之间的逻辑关系,分析工具是逻辑代数,表达电路的功能主要用真值表,逻辑函数表达式及波形图等。 11.1.2 数字电路的发展和应用 数字电路的发展:与器件的改进密切相关,集成电路的出现促进了数字电路的发展。 数字电路的应用:范围广泛,国民经济许多部门中都将大量应用数字电路。 11.2 基本逻辑门电路 各种逻辑门电路是组成数字电路的基本单元。 11.2.1 关于逻辑电路的几个规定 一、逻辑状态的表示方法 用数字符号0和1表示相互对立的逻辑状态,称为逻辑0和逻辑1。 表11.2.1 常见的对立逻辑状态示例 二、高、低电平规定 用高电平、低电平来描述电位的高低。 高低电平不是一个固定值,而是一个电平变化范围,如图11.2.1(a)所示。 单位用“V ”表示。 在集成逻辑门电路中规定 —— 标准高电平V SH —— 高电平的下限值; 标准低电平V SL —— 低电平的上限值。 应用时,高电平应大于或等于V SH ;低电平应小于或等于V SL 。 三、正、负逻辑规定 正逻辑:用1表示高电平,用0表示低电平的逻辑体制。 负逻辑:用1表示低电平,用0表示高电平的逻辑体制。 11.2.2 与门电路 基本的逻辑关系:与逻辑、或逻辑和非逻辑。 一、与逻辑 1.与逻辑关系 与逻辑关系如图11.2.2所示。当决定一件事情的几个条件全部具备后,这件事情才能发生,否则不发生。 图11.2.1 正逻辑和负逻辑

数字电路与系统基础知识

第1章 数字电路与系统实验基础知识 随着数字技术日新月异的发展,数字电路与系统实验已成为高等学校电类相关专业重要的专业基础课程,具有较强的实用性、创造性和实践性。数字电路与系统实验依据教学、科研的具体要求设计实验项目,要求学生实现电路设计、安装和调试,从基本逻辑功能的实现到复杂数字系统的设计,逐步掌握具有特定功能数字电路的设计方法,从而达到巩固基本理论知识、培养实践能力的目的。千里之行,始于足下。掌握基础知识,是做好数字电路与系统实验的第一步。 1.1 数字电路与系统实验基本知识 1.1.1 数字电路与系统实验的特点 与电路实验和模拟电子电路实验相比,数字电路与系统实验具有以下特点。 1.所有电路和系统的输入量和输出量都是二值化的数字量 数字量具有在时间和数值上均离散的特点,在数字电路与系统实验中,一般输入量外接逻辑开关,输出量外接指示灯,实验结果直观、易判断,实验数据的处理较为简单,复杂计算极少,容易激发学生的学习兴趣,培养逻辑思维的能力。 2.实验器件都是集成芯片 数字电路与系统实验中采用的器件主要是半导体集成芯片,而非独立元件。在基本数字电路的设计中,一般采用中、小规模集成电路,在复杂系统的设计中,一般采用大规模甚至超大规模集成电路。这一特点使得数字电路与系统实验的硬件连线大大减少,电路调试和排查错误的难度大大降低。 3.实践性很强 优秀的数字电路与系统的设计需要丰富的实践经验,而这些实践经验来源于大量实际电路的设计和调试。因此,在最基本的实验项目中,就应开始注重实践经验的积累。 1.1.2 数字电路与系统实验的基本过程 独立、成功地完成一次实验课的基本过程如下。 1.课前预习 在进入数字电路实验室之前,充分的课前预习对顺利完成所有实验项目具有举足轻重的作用。课前预习的内容包括本次实验项目中涉及的基本理论知识、所需集成芯片的逻辑功能、每个实验任务的设计方案和具体的电路图,以及记录数据的表格和波形坐标系。 2.基本性实验项目 每次实验课中都设计了基本性实验项目,其主要目的是测试和验证实验电路的基本逻辑功能,掌握基本器件的使用方法,锻炼电路连接能力,掌握实验数据的观察和处理方法。

数字电子技术基础第五版期末知识点总结..

数电课程各章重点 第一、二章 逻辑代数基础知识要点 各种进制间的转换,逻辑函数的化简。 一、二进制、十进制、十六进制数之间的转换;二进制数的原码、反码和补码 .8421码 二、逻辑代数的三种基本运算以及5种复合运算的图形符号、表达式和真值表:与、或、非 三、逻辑代数的基本公式和常用公式、基本规则 逻辑代数的基本公式 逻辑代数常用公式: 吸收律:A AB A =+ 消去律:B A B A A +=+ A B A AB =+ 多余项定律:C A AB BC C A AB +=++ 反演定律:B A AB += B A B A ?=+ B A AB B A B A +=+ 基本规则:反演规则和对偶规则,例1-5 四、逻辑函数的三种表示方法及其互相转换 逻辑函数的三种表示方法为:真值表、函数式、逻辑图 会从这三种中任一种推出其它二种,详见例1-7 五、逻辑函数的最小项表示法:最小项的性质;例1-8 六、逻辑函数的化简:要求按步骤解答 1、 利用公式法对逻辑函数进行化简 2、 利用卡诺图对逻辑函数化简 3、 具有约束条件的逻辑函数化简 例1.1 利用公式法化简 BD C D A B A C B A ABCD F ++++=)( 解:BD C D A B A C B A ABCD F ++++=)( BD C D A B A B A ++++= )(C B A C C B A +=+ BD C D A B +++= )(B B A B A =+

C D A D B +++= )(D B BD B +=+ C D B ++= )(D D A D =+ 例1.2 利用卡诺图化简逻辑函数 ∑=)107653()(、、、、 m ABCD Y 约束条件为 ∑8)4210(、、、、 m 解:函数Y 的卡诺图如下: 00 01 11 1000011110AB CD 111 × 11××××D B A Y += 第三章 门电路知识要点 各种门的符号,逻辑功能。 一、三极管开、关状态 1、饱和、截止条件:截止:T be V V <, 饱和:β CS BS B I I i => 2、反相器饱和、截止判断 二、基本门电路及其逻辑符号 与门、或非门、非门、与非门、OC 门、三态门、异或; 传输门、OC/OD 门及三态门的应用 三、门电路的外特性 1、输入端电阻特性:对TTL 门电路而言,输入端通过电阻接地或低电平时,由于输入电流流过该电阻,会在电阻上产生压降,当电阻大于开门电阻时,相当于逻辑高电平。 习题2-7 5、输出低电平负载电流I OL 6、扇出系数N O 一个门电路驱动同类门的最大数目 第四章 组合逻辑电路知识要点

数字电路实验课程小结

课程小结 这学期通过九周的数字电路的实验,既对门电路、译码器、触发器、计数器等理论知识有了更深的理解,又锻炼了自己实际的操作能力。在已有的课本知识基础上进行实验设计,使同学们在传统实验基础上的创新意识得到体现,同时又巩固了对数字电路的掌握,激发了我们的学习数字电路的兴趣。 首先在做实验之前要要有充分的准备,弄懂实验原理,实验总是与课本知识相关的,就必须回顾课本的知识,掌握相关的知识点。在实验过程中,我们应该尽量减少操作的盲目性提高实验效率的保证,在弄懂了实验原理的基础上,才能保证自己的正确性。 在写预习报告时,会通过仿真,提出问题并试着通过网络找资料自学有关知识以及与他人交流来解决问题。培养了自己发现问题和解决问题的能力,留给学生充分的学习思维时间,增强了学习的主动性,为在课堂上的进一步理解应用打下基础。 同时将两个人组成一小组,再将几小组组成一个大组的形式很好,增强了大家的互动性。无论是在课后还是课上,大家都可以不断交流,互相发现问题,解决问题,在遇到不懂的地方共同努力,齐心协力,共同进步。 数字电子技术实验的开放体现了学生的主体意识,同学们在实验中表现出了积极的主动性。在实验中应思考如何去掌握和运用物理方法,如给定了实验原理和一些条件要求(如相关的芯片),设计实验方案、实验步骤,画出实验电路图,然后进行操作,得出结果。 数字电子技术是一门理论性和实践性都很强的专业基础课,也是一门综合性的技术基础学科,许多理论和方法只有通过实际验证才能加深理解并真正掌握。在老师的启发引导下,通过创新解决问题,获取知识,掌握物理实验思想和实验方法的实质,从而培养创新能力。学习数字电路实验这门课程,要掌握电路设计的基本知识和方法,通过实验培养在实践中研究问题、分析问题和解决问题的能力,为将来从事技术工作和科学研究奠定扎实的基础。

数字电路知识点汇总(精华版)

数字电路知识点汇总(东南大学) 第1章数字逻辑概论 一、进位计数制 1.十进制与二进制数的转换 2.二进制数与十进制数的转换 3.二进制数与16进制数的转换 二、基本逻辑门电路 第2章逻辑代数 表示逻辑函数的方法,归纳起来有:真值表,函数表达式,卡诺图,逻辑图及波形图等几种。 一、逻辑代数的基本公式和常用公式 1)常量与变量的关系A+0=A与A= ?1A A+1=1与0 ?A 0= A?=0 A A+=1与A 2)与普通代数相运算规律 a.交换律:A+B=B+A A? ? = A B B b.结合律:(A+B)+C=A+(B+C) ? A? B ? ? = (C ) C ( ) A B c.分配律:) ?=+ A? B (C A? ?B A C + A+ = +) B ? ) (C )() C A B A 3)逻辑函数的特殊规律 a.同一律:A+A+A

b.摩根定律:B B A+ = A ? A +,B B A? = b.关于否定的性质A=A 二、逻辑函数的基本规则 代入规则 在任何一个逻辑等式中,如果将等式两边同时出现某一变量A的地方,都用一个函数L表示,则等式仍然成立,这个规则称为代入规则例如:C ? + A⊕ ⊕ ? B A C B 可令L=C B⊕ 则上式变成L ?=C + A A? L ⊕ ⊕ = L A⊕ B A 三、逻辑函数的:——公式化简法 公式化简法就是利用逻辑函数的基本公式和常用公式化简逻辑函数,通常,我们将逻辑函数化简为最简的与—或表达式1)合并项法: 利用A+1 A= ? B ?,将二项合并为一项,合并时可消去 = +A = A或A B A 一个变量 例如:L=B + B A= ( C +) = A C A C B B C A 2)吸收法 利用公式A A?可以是? +,消去多余的积项,根据代入规则B A B A= 任何一个复杂的逻辑式 例如化简函数L=E AB+ + D A B 解:先用摩根定理展开:AB=B A+再用吸收法 L=E + AB+ A D B

数字电子技术基础简明教程

数字电子技术基础简明教程 课程名称:数字电子技术B Digital Electronics Technology 课程编号042084 总学时数:64学时讲课学时: 54学时实验学时:10学时 学分:4学分 先修课程:高等数学、大学物理、电路、模拟电子技术 教材:董传岱主编《数字电子技术》石油大学出版社,2002年 参考书目: 阎石编. 数字电子技术基础.高教出版社,2006年 余孟尝主编《数字电子技术基础简明教程》北京高等教育出版社 2006年 康华光主编《电子技术基础》数字部分北京高等教育出版社2006年 《课程内容简介》 本课程64学时, 其中实验10学时。主要内容有逻辑代数基础、门电路和组合逻辑电路、触发器及时序逻辑电路、脉冲的产生和整形电路、半导体存储器、数-模转换器和模-数转换器、可编程逻辑器件等。为

反映科学技术的发展,在内容安排上侧重于中,小规模集成电路,注意常用组件的综合应用等。 一、课程性质、目的和要求 数字电子技术基础课程是电气、通讯、计算机等电类专业和部分非电类专业本科生在电子技术方面入门性质的技术基础课,具有自身的体系和很强的实践性。本课程通过对常用电子器件、数字电路及其系统的分析和设计的学习,使学生获得数字电子技术方面的基本知识、基本理论和基本技能,为深入学习数字电子技术及其在专业中的应用打下基础。 二、教学内容、要点和课时安排 《数字电子技术基础B》授课课时分配表

本课程的教学内容共分九章。 第一章:绪论 主要内容:概述、数制与代码 要求:掌握二进制、十六进制数及其与十进制数的相互转换。掌握8421编码,了解其他常用编码 重点:常用BCD码 难点:8421编码 第二章:逻辑代数和函数化简 主要内容:基本逻辑运算和复合逻辑运算;逻辑函数及其描述;逻辑代数的运算法则;逻辑函数表达式的形式及其变换;逻辑函数标准形式;逻辑函数的公式化简法;逻辑函数的卡诺图化简法。

数字电路基础知识

数字电路基础知识 第一节数制与码制 一几种常用数制 1.十进制 基数为10,数码为:0~9; 运算规律:逢十进一,即:9+1=10。 十进制数的权展开式:任意一个十进制数都可以表示为各个数位上的数码与其对应的权的乘积之和,称为位权展开式。如:(5555)10=5×103+5×102+5×101+5×100又如:(209.04)10= 2×102+ 0×101+9×100+0×10-1+4 ×10-2 二进制 基数为2,数码为:0、1; 运算规律:逢二进一,即:1+1=10。 二进制数的权展开式: 如:(101.01)2=1×22+0×21+1×20+0×2-1+1 ×2-2=(5.25)10 2.八进制 基数为8,数码为:0~7; 运算规律:逢八进一。 八进制数的权展开式: 如:(207.04)10=2×82+0×81+7×80+0×8-1+4 ×8-2 =(135.0625)10 十六进制 基数为十六,数码为:0~9、A~F; 运算规律:逢十六进一。 十六进制数的权展开式: 如:(D8.A)2=13×161+8×160+10 ×16-1=(216.625)10二不同进制数的相互转换 1.二进制数与十进制数的转换 (1)二进制数转换成十进制数 方法:把二进制数按位权展开式展开 (2)十进制数转换成二进制数 方法:整数部分除二取余,小数部分乘二取整.整数部分采用基数连除法,先得到的余数为低位,后得到的余数为高位。小数部分采用基数连乘法,先得到的整数为高位,后得到的整数为低位。例: 所以:(44.375)10=(101100.011)2 2.八进制数与十进制数的转换 方法:整数部分除八取余,小数部分乘八取整。

相关主题